2012年3月3日土曜日

PDP-11 on FPGA勉強会なるものが構想されている

PDP-11 on FPGA勉強会構想
http://bogous.blogspot.com/2012/02/pdp-11-on-fpga.html

面白そう!

参加するかどうかは未定ですが、参加するためには PDP-11とVHDL の基礎知識が必要との事なので、とりあえず出来る事から初めて見る事にしました。

Fedora14 に GHDL のバイナリをインストールして GTKWave はソースコードからビルドして VHDL のシミュレーションを出来るようにしました。

GHDL
http://ghdl.free.fr/
GTKWave
http://gtkwave.sourceforge.net/

Web で 4 ビットカウンターとテストベンチの VHDL ソースをみつけて入力してコンパイル。
コンパイルエラーになるので、調べてみたら次のように--ieee オプションが必要だったみたい。
$ ghdl -a --ieee=synopsys counter4.vhd count_tp.vhd

シミュレーションの実行は、
$ ghdl -r count_tp --vcd=count_tp.vcd

とすれば良いみたいなのですが、またもや次のようなエラー
ghdl: file 'count_tp' does not exists
ghdl: Please elaborate your design.

次のようにしてシミュレーションまで実行できるようになりました。
$ ghdl -e count_tp
count_tp.vhd:3:10: primary unit "std_logic_unsigned" not found in library "ieee"
ghdl: compilation error
$ ghdl -e --ieee=synopsys count_tp
$ ghdl -r count_tp --vcd=count_tp.vcd
../../../src/synopsys/std_logic_arith.vhdl:255:20:@50ns:(assertion warning): The
re is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
^C

細かい所は、おいおい調べていくとして、GTKWave での波形表示